www.design-reuse-embedded.com
Find Top SoC Solutions
for AI, Automotive, IoT, Security, Audio & Video...

Two Major Enterprise Solid State Disk (SSD) Vendors License Arteris FlexNoC Interconnect IP

New generation of enterprise SSD controllers to benefit from network-on-chip (NoC) data protection, high bandwidth PCI Express (PCIe)

CAMPBELL, California, Feb. 18, 2015 – 

Arteris Inc., the inventor and only supplier of silicon-proven commercial network-on-chip (NoC) interconnect IP solutions, today announced that two of the world’s largest enterprise SSD vendors have licensed Arteris FlexNoC fabric IP for use as the communications backbone within their upcoming SSD controller SoCs.

The enterprise SSD market is going through a shift where a number of innovative companies are bringing their controller chip design in-house rather than relying on third-party suppliers. A complicating factor is the high bandwidth requirements for moving large blocks of data very quickly, creating extreme routing congestion and timing closure issues. Arteris FlexNoC’s network-on-chip technology alleviates these back end bottlenecks by packetizing data and serializing its transmission over fewer wires than required with inferior fabric technologies. Using Arteris FlexNoC simultaneously shortens design schedules and shrinks die size, increasing the profitability potential for these enterprise SSD vendors.
In addition to the schedule and cost savings, Arteris FlexNoC has helped these companies by providing:

“The enterprise SSD market has quickly grown in sophistication and complexity, making it a perfect match for the use of Arteris FlexNoC interconnect IP” said K. Charles Janac, President and CEO of Arteris. “We are proud that these two leading companies have chosen Arteris to help them implement their own state-of-the-art SSD controllers in less time for less cost, taking advantage of FlexNoC’s unmatched data protection, QoS and SoC assembly features."

About Arteris Inc

Arteris provides Network on Chip (NoC) interconnect IP to improve performance, power consumption and die size of system on chip (SoC) devices for consumer electronics, mobile, automotive and other applications.

Using Arteris solves pain for our customers. Traditional bus and crossbar interconnect approaches create serious problems for architects, digital and physical designers, and integrators: Massive numbers of wires, increased heat and power consumption, failed timing closure, spaghetti-like routing congestion leading to increased die area, and difficulty making changes for derivatives.

Whether you are using AXI, OCP, AHB or a proprietary protocol, Arteris Network on Chip (NoC) IP reduces the number of wires by nearly one half, resulting in fewer gates and a more compact chip floor plan. Having the option to configure each connection s width, and each transaction s dynamic priority, assures meeting latency and bandwidth requirements. And with the Arteris IP configuration tool suite, design and verification can be done easily, in a matter of days or even hours.

Arteris invented Network on Chip technology, offering the world s first commercial solution in 2006. Arteris connects the IP blocks in semiconductors from Qualcomm, Samsung, TI, and others, representing over 50 System on Chip devices. Find out more about Arteris products.

 Back

Partner with us

List your Products

Suppliers, list and add your products for free.

More about D&R Privacy Policy

© 2024 Design And Reuse

All Rights Reserved.

No portion of this site may be copied, retransmitted, reposted, duplicated or otherwise used without the express written permission of Design And Reuse.