www.design-reuse-embedded.com
Find Top SoC Solutions
for AI, Automotive, IoT, Security, Audio & Video...

Arteris Delivers FlexNoC Physical Interconnect IP to Accelerate SoC Layout

Physically-aware IP leverages on-chip interconnect architecture for automated timing closure, first-pass place & route success

Linley Mobile Conference 2015, SANTA CLARA, California, Apr. 22, 2015 – 

Arteris Inc., the inventor and only supplier of silicon-proven commercial network-on-chip (NoC) interconnect IP solutions, today announced availability of Arteris FlexNoC Physical interconnect IP, a breakthrough that accelerates system-on-chip (SoC) physical design.

Arteris FlexNoC interconnect IP has always been layout friendly because the Arteris NoC technology uses fewer wires and allows distributed IP placement, minimizing wire congestion and reducing silicon area. FlexNoC Physical interconnect IP further enhances layout quality-ofresults (QoR) and productivity by importing user-defined and production floorplans, automatically inserting pipelines to meet timing closure constraints, and separating the FlexNoC interconnect IP instances at a physical level so they can be routed separately from the rest of the SoC.

The benefits of FlexNoC Physical IP include:

The FlexNoC Physical solution leverages the architectural knowledge of the SoC interconnect not only to accelerate timing closure but to also improve QoR by using less slack to meet timing, further reducing SoC silicon area and improving performance.

To enable this automation, FlexNoC Physical can import floorplans (in LEF / DEF format) along with process technology information. This layout and process information is used to quickly find an optimum placement of the FlexNoC Physical fabric IP components in the layout, and determines where pipeline stages must be used while minimizing area and latency. In addition to generating a new RTL instance with the added pipeline stages, FlexNoC Physical exports placement information to physically-aware synthesis tools and place and route tools.

"Using FlexNoC Physical delivers two valuable benefits: First, it allows SoC architects to visualize the physical implications of their topologies early in the design cycle, and second, it helps the RTL implementation team to automatically add pipelines for timing closure, cutting months off complex SoC development cycles" said K. Charles Janac, President and CEO of Arteris. "We are helping customers cut down place and route cycles by providing their layout teams better starting-point data."

The Linley Group, Mike Demler, Senior Analyst

"Arteris is solving an important set of back-end problems with technology that works earlier in the SoC design flow" said Mike Demler, Senior Analyst, at The Linley Group. "FlexNoC Physical IP has the potential to significantly decrease timing issues experienced in the layout stage, reducing P&R iterations and engineering change orders (ECOs) and saving cost and schedule time."

Synopsys, Bijan Kiani, Vice President of Marketing, Design Group

"Arteris FlexNoC Physical has the promise to improve layout productivity by feeding our tools like DC Graphical and IC Compiler II improved timing closure information and more accurate RTL data," said Bijan Kiani, Vice President of Marketing, Design Group at Synopsys. "We are excited to have the opportunity to work with mutual customers to validate these propositions."

Availability

Arteris FlexNoC Physical is available today for early access customers.

About Arteris Inc

Arteris provides Network on Chip (NoC) interconnect IP to improve performance, power consumption and die size of system on chip (SoC) devices for consumer electronics, mobile, automotive and other applications.

Using Arteris solves pain for our customers. Traditional bus and crossbar interconnect approaches create serious problems for architects, digital and physical designers, and integrators: Massive numbers of wires, increased heat and power consumption, failed timing closure, spaghetti-like routing congestion leading to increased die area, and difficulty making changes for derivatives.

Whether you are using AXI, OCP, AHB or a proprietary protocol, Arteris Network on Chip (NoC) IP reduces the number of wires by nearly one half, resulting in fewer gates and a more compact chip floor plan. Having the option to configure each connection s width, and each transaction s dynamic priority, assures meeting latency and bandwidth requirements. And with the Arteris IP configuration tool suite, design and verification can be done easily, in a matter of days or even hours.

Arteris invented Network on Chip technology, offering the world s first commercial solution in 2006. Arteris connects the IP blocks in semiconductors from Qualcomm, Samsung, TI, and others, representing over 50 System on Chip devices. Find out more about Arteris products.

 Back

Partner with us

List your Products

Suppliers, list and add your products for free.

More about D&R Privacy Policy

© 2024 Design And Reuse

All Rights Reserved.

No portion of this site may be copied, retransmitted, reposted, duplicated or otherwise used without the express written permission of Design And Reuse.