www.design-reuse-embedded.com
Find Top SoC Solutions
for AI, Automotive, IoT, Security, Audio & Video...

Sequans Licenses Arteris FlexNoC Interconnect IP for Low Power LTE IoT SoC

Network-on-chip interconnect fabric to help boost cloud services IoT strategy

CAMPBELL, Calif , Jul. 07, 2015 – 

Arteris Inc., the inventor and only supplier of silicon-proven commercial network-on-chip (NoC) interconnect IP solutions, today announced that Sequans Communications, maker of single-mode LTE chipsets for the Internet of Things, has licensed Arteris FlexNoC IP for use in its next generation of IoT chipsets.

Sequans is a pioneer in bringing wireless broadband connectivity to consumer devices connected to the IoT. Tight integration of low-power LTE communications capability into these devices will help enable a new generation of cloud services.

Critical to Sequans? decision to license Arteris FlexNoC was the need for a short development schedule and fast time to market. In addition to its low power advantages, FlexNoC?s integrated automation capabilities allow the Sequans engineering team to quickly define their SoC interconnect connectivity and performance requirements, explore and optimize candidate architectures, and verify the resulting hardware design.

"Arteris FlexNoC?s development efficiency benefits give us an advantage over our competitors because we can get our product to market sooner with more features and at a higher quality level," said Bertrand Debray, COO and founder of Sequans.

"We are excited that Sequans chose Arteris after a thorough evaluation of all the options," said K. Charles Janac, President and CEO of Arteris. "Sequans? choice of FlexNoC is a vote of confidence in the quality and flexibility of Arteris? solution."

About Arteris Inc

Arteris provides Network on Chip (NoC) interconnect IP to improve performance, power consumption and die size of system on chip (SoC) devices for consumer electronics, mobile, automotive and other applications.

Using Arteris solves pain for our customers. Traditional bus and crossbar interconnect approaches create serious problems for architects, digital and physical designers, and integrators: Massive numbers of wires, increased heat and power consumption, failed timing closure, spaghetti-like routing congestion leading to increased die area, and difficulty making changes for derivatives.

Whether you are using AXI, OCP, AHB or a proprietary protocol, Arteris Network on Chip (NoC) IP reduces the number of wires by nearly one half, resulting in fewer gates and a more compact chip floor plan. Having the option to configure each connection s width, and each transaction s dynamic priority, assures meeting latency and bandwidth requirements. And with the Arteris IP configuration tool suite, design and verification can be done easily, in a matter of days or even hours.

Arteris invented Network on Chip technology, offering the world s first commercial solution in 2006. Arteris connects the IP blocks in semiconductors from Qualcomm, Samsung, TI, and others, representing over 50 System on Chip devices. Find out more about Arteris products.

 Back

Partner with us

List your Products

Suppliers, list and add your products for free.

More about D&R Privacy Policy

© 2024 Design And Reuse

All Rights Reserved.

No portion of this site may be copied, retransmitted, reposted, duplicated or otherwise used without the express written permission of Design And Reuse.