Our partners at event
Secure-IC at CES 2022
Search SoC Solutions
Security Solutions

  CAST Partners with KiviCore for Post-Quantum Cryptography - Oct. 15, 2024 ❖

Upcoming IP cores for hardware crypto engines will help developers defend systems against attacks from future quantum computers. — Semiconductor intellectual property provider CAST a...  > Read

  Crypto Quantique teams up with Attopsemi to simplify the implementation of PUF technology in MCUs and SoCs - Oct 10, 2024 ❖

LONDON, UK -- October 10, 2024 -- Crypto Quantique, a provider of quantum-driven security for the Internet of Things (IoT), has announced a collaboration with Attopsemi Technology, a leading ...  > Read

Artificial Intelligence

  eMemory Join Forces with Siemens on Groundbreaking SRAM Repair Toolset: Pre-integrated Tessent MemoryBIST with NeoFuse OTP - Oct. 15, 2024 ❖

eMemory is proud to announce a groundbreaking SRAM repair solution that integrates Siemens’ Tessent™ MemoryBIST software with eMemory's NeoFuse OTP. The new solution is targete...  > Read

  SEMIFIVE Concluded Mass Production Contract for AI Chip with HyperAccel - Oct. 14, 2024 ❖

Collaboration on design and development using 4nm process technology, with mass production targeted for Q1 2026 — SEMIFIVE, a leading design solution provider and pioneer of platfor...  > Read

SoC Design Platforms and Services

  Agile Analog announces MoU to support new Southern Taiwan IC Design Industry - Oct. 15, 2024 ❖

Promotion Hub Promoting collaboration and novel IC design technologies October 15, 2024. Cambridge, UK --Agile Analog, the customizable analog IP company, has announced a Memorandum of Und...  > Read

  Siemens Updates Simcenter Testlab for Advanced Manufacturers - Oct. 14, 2024 ❖

This update will increase collaboration and reduce reliance on physical prototypes. Siemens Digital Industries Software announced the latest updates to its Simcenter Testlab offering to hel...  > Read

5G - Network - Wireless

  Exclusive Interview: Antti Rauhala Discusses CoreHW's CHW3021 Radio Front-End IC - Oct. 14, 2024 ❖

In this interview, Antti Rauhala, Vice President of Engineering at CoreHW, sheds light on CHW3021, an innovative radio front-end integrated circuit (IC). He delves into its advanced features...  > Read

  Bluetooth® V6.0 Channel Sounding RF Transceiver IP Core in 22nm & 40nm for ultra-low power distance aware Bluetooth co... - Oct. 07, 2024 ❖

-- T2M announces the availability of its partner’s Bluetooth V6.0 RF Transceiver IP Core in 22nm ULL and 40nm ULP. This core supports the latest Bluetooth Channel Sounding feature, set...  > Read

Audio, Voice, Video

  DisplayPort Rx PHY and Controller IP Cores in multiple Leading Technology Nodes for Next-Generation Video SoCs - Oct. 14, 2024 ❖

Oct 14 th , 2024 -- T2M-IP, the global leader in semiconductor IP cores and technology expertise, is proud to announce the immediate availability of its partner's VESA-compliant DisplayPort...  > Read

Business News

  September foundry sales: a tale of differing fortunes - Oct. 12, 2024 ❖

Strong demand for foundry TSMC's leading-edge wafer processing continued in September allowing the company to beat the sales guidance it gave for 3Q24 back in July. In September TSMC's net ...  > Read

  How Poland became key to Europe's semiconductor sovereignty - Oct. 09, 2024 ❖

In the race for semiconductor self-sufficiency, Poland has become one of Europe's leading contenders–carving out a space for itself in a market dominated by the world's tech gia...  > Read

  MosChip Reaches Embedded World North America 2024 With its DigitalSky - Oct. 08, 2024 ❖

MosChip Technologies, a major provider of services for semiconductor design and product engineering, has announced that it will be showcasing its newly released MosChip DigitalSky during t...  > Read

Foundry and Technology News

  IEDM: CFETs make progress at 5nm and 7angstrom - Oct. 11, 2024 ❖

Researchers from TSMC, IMEC, IBM and Samsung are all due to report on progress vertically-stacked complementary field effect transistors (CFETs) at this year's International Electron Devices M...  > Read

  Faraday and Kiwimoore Succeed in 2.5D Packaging Project for Mass Production - Oct. 08, 2024 ❖

-- Faraday Technology Corporation (TWSE: 3035), a leading ASIC design service and IP provider, and Kiwimoore, a global leader in AI networking full-stack interconnect products and solutions, ...  > Read

Automotive - Avionics

  Arm, ASE, BMW Group, Bosch, Cadence, Siemens, SiliconAuto, Synopsys, Tenstorrent and Valeo commit to join imec's Automotiv... - Oct. 10, 2024 ❖

Imec invites the global automotive ecosystem to join its effort to mutually explore the opportunities presented by chiplet technology Today, at an exclusive gathering in Ann Arbor (MI), bri...  > Read

  MIPI Alliance Announces OEM, Expanded Ecosystem Support for MIPI A-PHY Automotive SerDes Specification - Oct 09, 2024 ❖

Global OEMs and other supply chain vendors embrace A-PHY to support next-generation ADAS and ADS applications – The MIPI Alliance , an international organization that develops interfa...  > Read

Interconnect, D2D, C2C

  Why Chiplets Are the Next Big Innovation in Silicon - Oct. 10, 2024 ❖

The semiconductor industry sits at an inflection point (and not a particularly welcome one): In terms of cost, physics, computing and complexity, it is increasingly difficult to keep innovati...  > Read

  Bosch, Tenstorrent to collaborate on standardizing automotive chips - Oct. 10, 2024 ❖

German industrial giant Bosch will collaborate with U.S. chip startup Tenstorrent to develop a platform for standardizing the building blocks of automotive chips, Tenstorrent executives sa...  > Read

Embedded Processing

  Deeptech Keysom completes a €4M fundraising and deploys the first "no-code" tool dedicated to the design of tailor-ma... - Oct 10, 2024 ❖

-- Keysom, a deeptech company developing an innovative and “no code” platform for designing tailor-made processor architectures for semiconductor and embedded systems players, an...  > Read

Internet of Things

  Silicon Labs and Kudelski IoT Partner to Accelerate Matter Device Certification - Oct. 09, 2024 ❖

New Custom Part Manufacturing Service (CPMS) and Device Attestation Certificates (DAC) Injection Streamline Security Implementation for Matter-Certified IoT Devices AUSTIN, Texas, Oct. 9, 2...  > Read

  Rambus to Announce Third Quarter Fiscal Year 2024 Results - Oct. 09, 2024 ❖

SAN JOSE, Calif.--(BUSINESS WIRE)-- Rambus Inc. (Nasdaq: RMBS), a premier chip and silicon IP provider making data faster and safer, today announced that it will hold a conference call on Mon...  > Read

RISC-V Ecosystem

  LDRA Announces Extended Support for RISC-V High Assurance Software Quality Tool Suite to Accelerate On-Target Testing of C... - Oct. 09, 2024 ❖

Designed in partnership with other industry leaders, LDRA’s development and verification tool chain supports RISC-V developers from requirements through deployment and test –...  > Read

  Intel Architects Start RISC-V Business with AheadComputing - Oct. 09, 2024 ❖

Intel's former Advanced Architecture Development Group (AADG) engineers have recently established AheadComputing Inc., a startup focused on developing RISC-V core IP. With a wealth of experie...  > Read

  Debug and Trace Support for Synopsys ARC-V Processor IP - Oct. 08, 2024 ❖

Hoehenkirchen, Germany – 08th October 2024. Lauterbach extends their industry leading TRACE32® debug and trace tools to include support for Synopsys' RISC-V instruction set ba...  > Read

Design IP

  NVMe Updates Expand Discoverability, Security - Oct 07, 2024 ❖

By Gary Hilson, EETimes (October 7, 2024) The NVMe specifications continue to expand to reflect modern computing environments while maintaining backwards compatibility and security. NVM Ex...  > Read

  Xylon's Updated logiHSSL IP Core Seamlessly Connects Infineon AURIX Microcontrollers with AMD Adaptive SoCs and FPGAs - Oct. 07, 2024 ❖

-- Xylon has announced significant architectural improvements to its popular logiHSSL Slave HSSL Controller IP core, widely used in various field applications over the past several years. Th...  > Read

  EXTOLL collaborates with Frontgrade Technologies for High-Speed SerDes IP - Oct. 02, 2024 ❖

-- EXTOLL, a leading provider of high-speed and ultra-low-power SerDes and Chiplet connectivity, has been selected by Frontgrade Technologies, a leading provider of mission critical electroni...  > Read

eFPGA

  Achronix Releases Groundbreaking Speedster AC7t800 Mid-Range FPGA, Driving Innovation in AI/ML, 5G/6G and Data Center Appl... - Oct. 02, 2024 ❖

Delivering unmatched performance in a mid-range FPGA, the Speedster® AC7t800 device delivers 12 Tbps of fabric bandwidth, 400GE and PCIe Gen5 along with comprehensive software to power ...  > Read

I understand
This website uses cookies to store information on your computer/device. By continuing to use our site, you consent to our cookies. Please see our Privacy Policy to learn more about how we use cookies and how to change your settings if you do not want cookies on your computer/device.