www.design-reuse-embedded.com
Find Top SoC Solutions
for AI, Automotive, IoT, Security, Audio & Video...

Imec presents alternative metals in advanced interconnect and contact schemes as a path to 2nm technology nodes

www.imec-int.com, Oct. 09, 2020 – 

LEUVEN (Belgium), October 9, 2020 – At the 2020 International Interconnect Technology Conference, imec, a world-leading research and innovation hub in nanoelectronics and digital technologies, demonstrates for the first time electrically functional 2-metal-level interconnects fabricated with Ru semi-damascene and airgap technology showing a long lifetime and good mechanical strength. A complementary 12-metal-layer analysis confirms the system-level benefits of this semi-damascene technology in terms of RC, power consumption and IR drop. Ru was also shown as a promising alternative for contact plugs in the middle-of-line of advanced nodes. Alternative metallization materials such as Ru and alternative metallization approaches such as semi-damascene are intensively researched to scale the back-end-of-line (BEOL) and middle-of-line (MOL) towards the 2nm technology node and beyond.

For the BEOL, imec proposes a semi-damascene integration as an alternative to traditional dual-damascene integration. To fully leverage the potential of the semi-damascene technology, metals other than Cu or Co are required that can be deposited without diffusion barrier, have a high bulk resistivity and can be patterned using e.g. subtractive etch. This allows for interconnect height increase which, in combination with airgaps as dielectrics, promises to reduce the resistance-capacitance (RC) delay – a major bottleneck for BEOL scaling.

click here to read more...

 Back

Partner with us

List your Products

Suppliers, list and add your products for free.

More about D&R Privacy Policy

© 2024 Design And Reuse

All Rights Reserved.

No portion of this site may be copied, retransmitted, reposted, duplicated or otherwise used without the express written permission of Design And Reuse.