www.design-reuse-embedded.com
Find Top SoC Solutions
for AI, Automotive, IoT, Security, Audio & Video...

Samsung Foundry Promises Gate All-Around in '22

www.eetimes.com, Oct. 14, 2021 – 

Samsung Foundry recently held its Foundry Forum where it revealed some details of its semiconductor process roadmaps and fab expansion. Samsung is being most aggressive pursuing the next generation of transistor technology, with plans to reach mass production ahead of TSMC and Intel. Samsung's 3-nanometer process will use the gate-all-around (GAA) transistor structure, which the foundry calls MBCFET (Multi-bridge channel FET) and will be in production first half of 2022. TSMC will wait another generation until its N2 process to deliver GAA some time in 2023.

Intel will bring its version of GAA, called RibbonFET, into production in its 20A process, likely in mid-2024. While Samsung is being the most aggressive on this technology, TSMC will deliver its 3-nanometer node earlier in 2022 using the more conservative approach by extending the life of FinFET designs. Intel will also use its "Enhanced SuperFin" transistors for the Intel 4 node in 2022, and the Intel 3 node in 2023. All these new nodes, both FinFET and GAA, are using extreme ultraviolet (EUV) lithography. It should also be noted, that neither Samsung nor TSMC has decided to follow Intel and rescale the node names from nanometers to Angstroms, at least not yet.

The first Samsung GAA node is 3GAE, in mass production by end of 2022, followed by the 3GAP node by the end of 2023. The 2GAP node will not make it into mass production until 2025. Samsung executives said at a press conference before the Forum that its new MBCFET technology is more expensive to manufacture, but it will "strive" to continue to lower the cost per transistor. The 3nm MBCFET node will allow up to 35% decrease in area, 30% higher performance or 50% lower power consumption compared to its 5nm process.

It should be noted, that despite not have production fabs itself, IBM is deeply involved with the advanced GAA process development at the company's semiconductor development efforts based at its research lab located at the Albany Nanotech Complex in Albany, NY. Samsung is part of that program and, just this year, IBM added Intel to the program. Which might explain why both IBM and Intel made appearances during the Samsung event. Samsung is also the foundry partner for IBM's latest Power 10 and Telum Z Processors.

click here to read more...

 Back

Partner with us

List your Products

Suppliers, list and add your products for free.

More about D&R Privacy Policy

© 2024 Design And Reuse

All Rights Reserved.

No portion of this site may be copied, retransmitted, reposted, duplicated or otherwise used without the express written permission of Design And Reuse.