Our partners at event
Secure-IC at CES 2022
Search SoC Solutions
Security Solutions

  PUFsecurity Unveils Next-Gen Crypto Coprocessor PUFcc7 Featuring High-speed Performance and TLS 1.3 Support - Mar. 28, 2024 ❖

(March 28th, 2024) PUFsecurity, the leading company in developing PUF-based security IP solutions, introduces its new Crypto Coprocessor member, PUFcc7. PUFcc7 features enhanced speed perform...  > Read

  Alpha Project: Secure-IC Leads the Cybersecurity Reinforcement in Baggage Transport - Mar. 25, 2024 ❖

-- Secure-IC, in collaboration with esteemed partners Alstef Group , ECAM Rennes , and IMT Atlantique , is at the forefront of pioneering advancements in airport technology through the Al...  > Read

Artificial Intelligence

  SEMIFIVE Starts Mass Production of its 14nm AI Inference SoC Platform based Product - Mar. 28, 2024 ❖

Delivered Mobilint's ARIES high performance custom SoC built on Samsung Foundry's leading 14nm FinFET process technology SEOUL, South Korea , March 27, 2024 /PRNewswire/ -- SEMIFIVE, a lead...  > Read

  Qualitas Semiconductor and Ambarella Sign Licensing Agreement - Mar. 26, 2024 ❖

QUALITAS SEMICONDUCTOR CO., LTD. (hereinafter referred to as QUALITAS) (QUALITAS, KOSDAQ: 432720), a leading provider of interconnect solutions, has signed a licensing agreement with Ambarel...  > Read

Automotive - Avionics

  STMicroelectronics Shrinks Microcontrollers to 20nm for Competitive Costs - Mar. 28, 2024 ❖

STMicroelectronics (NYSE: STM), a global semiconductor leader serving customers across the spectrum of electronic applications, has announced an advanced process based on 18nm Fully Deplet...  > Read

  Efinix Rolls Out Line of FPGAs to Accelerate and Adapt Automotive Designs and Applications - Mar. 27, 2024 ❖

Designed to deliver the power behind the promise of the software defined vehicle, Efinix FPGAs uniquely positioned to drive modern vehicles forward -- Efinix® , an innovator in pro...  > Read

Foundry and Technology News

  SmartSoC Solutions Joins TSMC Design Center Alliance to Boost Semiconductor Innovation in India - Mar. 27, 2024 ❖

-- SmartSoC Solutions, a leading Indian semiconductor design services company founded in 2016, is excited to announce its membership in the Design Center Alliance (DCA) of TSMC’s Ope...  > Read

  StarIC and GlobalFoundries announce strategic partnership, release high-Speed TIA and drivers to advance silicon photonics... - Mar. 25, 2024 ❖

-- StarIC is pleased to announce a strategic collaboration with GlobalFoundries (GF) aimed at advancing silicon photonics innovation. This partnership brings forth a breakthrough library of ...  > Read

SoC Design Platforms and Services

  New fabrication process, a major advance towards quantum computing - Mar. 27, 2024 ❖

New research from engineers and physicists at UCL in London has detailed a fabrication process that could be used to build a quantum computer that achieves an almost zero failure rate and has...  > Read

  Alphawave Semi and InnoLight Collaborate to Demonstrate Low Latency Linear Pluggable Optics with PCIe 6.0® Subsystem S... - Mar. 26, 2024 ❖

Collaboration extends Alphawave Semi and InnoLight’s leadership in optical connectivity with proven readiness for scaling AI infrastructure -- Alphawave Semi (LSE: AWE), a global ...  > Read

Audio, Voice, Video

  T2M IP Unveils Cutting-Edge HDMI 2.0 Tx PHY & Controller IP Cores are available for immediate licensing for your advanced ... - Mar. 27, 2024 ❖

– T2M IP, a distinguished global business development company specializing in complex system-level semiconductor IP cores solutions, proudly announced its latest innovation – t...  > Read

  StarFive's RISC-V based JH-7110 intelligent vision processing platform adopted VeriSilicon's Display Processor IP - Mar. 21, 2024 ❖

VeriSilicon's scalable and flexible DC8200 IP delivers display device adaptability and high-quality effects, offering immersive visual experience Shanghai, China, March 21, 2024--VeriSi...  > Read

Business News

  Intel and Arm Team Up to Power Startups - Mar. 26, 2024 ❖

Intel and Arm create the Emerging Business Initiative to help startups bring their bold ideas to life. Intel and Arm have signed a memorandum of understanding that finalizes the Emerging B...  > Read

  Chiplet Interconnect Pioneer Eliyan Closes $60 Million Series B Funding Round, Co-led by Samsung Catalyst Fund and Tiger G... - Mar. 26, 2024 ❖

Eliyan’s UCIe-, BoW-, or UMI™-compliant PHY addresses memory and IO wall constraints on either advanced or standard packaging material – Eliyan (“Eliyan”...  > Read

  Synopsys Adds AI-Driven Tools, Acquires PUF Security Firm - Mar. 25, 2024 ❖

By Nitin Dahad, EETimes (March21, 2024) Synopsys added to the excitement of events in Silicon Valley this week with the announcement of new AI-driven EDA tools, and the acquisition of SRAM...  > Read

RISC-V Ecosystem

  Renesas Introduces Industry's First General-Purpose 32-bit RISC-V MCUs with Internally Developed CPU Core - Mar. 26, 2024 ❖

RISC-V MCUs Offer Developers a New Low-Power, High-Performance Option along with Full Toolchain Support TOKYO, Japan -- March 26, 2024 ― Renesas Electronics Corporation (TSE:6723), ...  > Read

  Achronix FPGAs Add Support for Bluespec's Linux-capable RISC-V Soft Processors to Enable Scalable Processing - Mar. 26, 2024 ❖

Bluespec’s accelerator-ready RISC-V processors turn Achronix FPGAs into programmable SoCs -- Achronix Semiconductor Corporation, a leader in high-performance FPGAs and embedded FPGA ...  > Read

  Alibaba's research arm promises server-class RISC-V processor due this year - Mar. 20, 2024 ❖

And teases a laptop to show off its current silicon – running the open edition of Huawei's CentOS spinout Alibaba's research arm, the Damo Academy, has promised to deliver a serve...  > Read

5G - Network - Wireless

  ZeroPoint Technologies Signs Global Customer to Bring Hardware-Accelerated Compression to Hyperscale Data Centers - Mar. 26, 2024 ❖

– ZeroPoint Technologies AB today announced that it has licensed its DenseMem CXL memory expansion solution to a major global semiconductor manufacturer. This agreement marks the firs...  > Read

  Credo Introduces HiWire AECS to Support 400G AI/ML Backend Networks - Mar. 19, 2024 ❖

San Jose, Calif., March 19, 2024 – Credo Technology Group Holding Ltd ("Credo") (Nasdaq: CRDO), an innovator in providing secure, high-speed connectivity solutions that delive...  > Read

Embedded Processing

  ScaleFlux To Integrate Arm Cortex-R82 Processors in Its Next-Generation Enterprise SSD Controllers - Mar. 25, 2024 ❖

-- ScaleFlux, a leader in deploying computational storage at scale, today announced its commitment to integrating the Arm® Cortex®-R82 processor in its forthcoming line of enterpris...  > Read

eFPGA

  QuickLogic and Zero-Error Systems Partner to Deliver Radiation-Tolerant eFPGA IP for Commercial Space Applications - Mar. 14, 2024 ❖

-- QuickLogic Corporation (NASDAQ: QUIK), a developer of embedded FPGA (eFPGA) IP, ruggedized FPGAs and Endpoint AI/ML solutions, today announced a strategic partnership with Zero-Error Syst...  > Read

I understand
This website uses cookies to store information on your computer/device. By continuing to use our site, you consent to our cookies. Please see our Privacy Policy to learn more about how we use cookies and how to change your settings if you do not want cookies on your computer/device.