www.design-reuse-embedded.com
Find Top SoC Solutions
for AI, Automotive, IoT, Security, Audio & Video...

Intel charts path to 1nm - video

Intel has for the first time indicated how it will get to the equivalent of 1nm processing with the RibbonFET and a rough timescale.

www.eenewseurope.com, Jul. 27, 2021 – 

The recent technology announcement renames the current 10nm process to Intel7, and the Intel4 process in 2022 with products in 2023 will compete with TSMC's current 5nm process which will see an optical shrink to 4NP in the same timeframe. Intel3 will be ready to begin manufacturing products in the second half of 2023 using extreme ultraviolet (EUV) technology.

"The industry has long recognized that traditional nanometer-based process node naming stopped matching the actual gate-length metric in 1997," says Intel. But the key change is the A-series process nodes. The 20A process will be the equivalent of 2nm, starting in the middle of 2024, and will use two breakthrough technologies, RibbonFET and PowerVia.

RibbonFET is Intel's implementation of a gate-all-around transistor that Samsung is already using for its 3nm production.

click here to read more...

 Back

Partner with us

List your Products

Suppliers, list and add your products for free.

More about D&R Privacy Policy

© 2024 Design And Reuse

All Rights Reserved.

No portion of this site may be copied, retransmitted, reposted, duplicated or otherwise used without the express written permission of Design And Reuse.