www.design-reuse-embedded.com
Find Top SoC Solutions
for AI, Automotive, IoT, Security, Audio & Video...

Samsung Foundry Promises Gate All-Around in '22

By Kevin Krewell, EETimes (October 14, 2021)

Samsung Foundry recently held its Foundry Forum where it revealed some details of its semiconductor process roadmaps and fab expansion. Samsung is being most aggressive pursuing the next generation of transistor technology, with plans to reach mass production ahead of TSMC and Intel. Samsung’s 3-nanometer process will use the gate-all-around (GAA) transistor structure, which the foundry calls MBCFET (Multi-bridge channel FET) and will be in production first half of 2022. TSMC will wait another generation until its N2 process to deliver GAA some time in 2023.

Intel will bring its version of GAA, called RibbonFET, into production in its 20A process, likely in mid-2024. While Samsung is being the most aggressive on this technology, TSMC will deliver its 3-nanometer node earlier in 2022 using the more conservative approach by extending the life of FinFET designs. Intel will also use its “Enhanced SuperFin” transistors for the Intel 4 node in 2022, and the Intel 3 node in 2023. All these new nodes, both FinFET and GAA, are using extreme ultraviolet (EUV) lithography. It should also be noted, that neither Samsung nor TSMC has decided to follow Intel and rescale the node names from nanometers to Angstroms, at least not yet.

Click here to read more ...

 Back

Partner with us

List your Products

Suppliers, list and add your products for free.

More about D&R Privacy Policy

© 2024 Design And Reuse

All Rights Reserved.

No portion of this site may be copied, retransmitted, reposted, duplicated or otherwise used without the express written permission of Design And Reuse.