SPI Master / Slave Controller w/FIFO (APB Bus)

Overview

The Digital Blocks DB-SPI-MS is a Serial Port Interface (SPI) Controller Verilog IP Core supporting both Master/Slave SPI Bus transfers. The DB-SPI-MS contains an AMBA AXI, AHB, or APB Bus Interface for interfacing a microprocessor to external SPI Master/Slave devices.

Tech Specs

Part NumberDB-SPI-MS-APB
Short DescriptionSPI Master / Slave Controller w/FIFO (APB Bus)
Provider
Maturity Successful in Customer Implementations
FoundryChartered, SMIC, LSI, IBM, STMicroelectronics, Silterra, UMC, OKI
Target Process NodeChartered, IBM, LSI. OKI, Silterra, SMIC, STMicroelectronics, Tower, TMSC, UMC
I understand
This website uses cookies to store information on your computer/device. By continuing to use our site, you consent to our cookies. Please see our Privacy Policy to learn more about how we use cookies and how to change your settings if you do not want cookies on your computer/device.