SPI Slave Controller (SPI2APB, SPI2AXI, SPI2AHB Bus)

Overview

The Digital Blocks DB-SPI-S-AMBA-BRIDGE is a Serial Port Interface (SPI) Controller Verilog IP Core supporting SPI Slave Interface to APB Master Bus. The DB-SPI-S-AMBA-BRIDGE performs a SPI2APB function, allowing incoming SPI Receive transactions to write or read data to/from an AMBA APB Bus

Tech Specs

Part NumberDB-SPI-S-AMBA-Bridge
Short DescriptionSPI Slave Controller (SPI2APB, SPI2AXI, SPI2AHB Bus)
Provider
Maturity Successful in Customer Implementations
FoundryChartered, SMIC, LSI, IBM, STMicroelectronics, Silterra, UMC, OKI
Target Process NodeChartered, IBM, LSI. OKI, Silterra, SMIC, STMicroelectronics, Tower, TMSC, UMC
I understand
This website uses cookies to store information on your computer/device. By continuing to use our site, you consent to our cookies. Please see our Privacy Policy to learn more about how we use cookies and how to change your settings if you do not want cookies on your computer/device.